Penyakit Demam Berdarah Dengue (DBD)

|

Tugas 3

1. Metode devinisi

Penyakit Demam Berdarah Dengue (DBD) {bahasa medisnya disebut Dengue Hemorrhagic Fever (DHF)} adalah penyakit yang disebabkan oleh virus dengue yang ditularkan melalui gigitan nyamuk Aedes aegypti dan Aedes albopictus, yang mana menyebabkan gangguan pada pembuluh darah kapiler dan pada sistem pembekuan darah, sehingga mengakibatkan perdarahan-perdarahan.

2. Metode Sebab Akibat

Penyebab dari demam berdarah dengue adalah nyamuk Aedes Aegepty dan dicurigai juga disebabkan oleh nyamuk Aedes Albotictus(nyamuk kebun).Akibat dari demam berdarah dengue, adalah dengue shock syndrome, yang akan mengakibatkan penderita meninggal dunia karena terjadi pendarahan karena bocornya pembuluh darah dan pembuluh darah yang mengempis sehingga proses peredaran darah terhenti.

3. Metode Proses

Proses penyakit DBD ditularkan melalui vector yaitu nyamuk Aedes Agepty (“AA”). Ciri khas nyamuk ini seperti yang kita sudah sering lihat adalah banyak bercak2 putih di tubuh dan kakinya. Kemampuan terbang AA umumnya maksimum 2.5 m. AA menularkan DBD kepada manusia pada saat dia menusuk dan menghisap darah, AA mengeluarkan suatu jenis enzyme yang berfungsi untuk mengencerkan darah selama proses pemindahan dari tubuh manusia ke dalam perutnya, dan di dalam enzyme itulah terdapat virus DBD.

4. Metode Contoh
Gejala yang di timbulkan bagi penderita Penyakit DBD antara lain :
1.Demam tinggi yang mendadak 2-7 hari (38 - 40 derajat Celsius).
2. Adanya bentuk perdarahan dikelopak mata bagian dalam (konjungtiva), Mimisan (Epitaksis), Buang air besar dengan kotoran (Peaces) berupa lendir bercampur darah (Melena), dan lain-lainnya.
3. Pada pemeriksaan laboratorium (darah) hari ke 3 - 7 terjadi penurunan trombosit dibawah 100.000 /mm3 (Trombositopeni), terjadi peningkatan nilai Hematokrit diatas 20% dari nilai normal (Hemokonsentrasi).
4. Timbulnya beberapa gejala klinik yang menyertai seperti mual, muntah, penurunan nafsu makan (anoreksia), sakit perut, diare, menggigil, kejang dan sakit kepala.
5. Demam yang dirasakan penderita menyebabkan keluhan pegal/sakit pada persendian.
6.Munculnya bintik-bintik merah pada kulit akibat pecahnya pembuluh darah.

5 Metode Klasifikasi

Penyakit DBD ditemukan didaerah tropis seperti Asia Tenggara, India, Brazil, Amerika termasuk di seluruh pelosok Indonesia, kecuali di tempat-tempat ketinggian lebih dari 1000 meter di atas permukaan air laut. berbadan kecil, warna hitam dengan bintik-bintik putih, Hidup di dalam dan di sekitar rumah, Bersarang dan bertelur di genangan air jernih di dalam dan di sekitar rumah bukan di got/comberan.Di dalam rumah : bak mandi, tampayan, vas bungan, tempat minum burung, perangkap semut dan lain-lain.

prinsip ekonomi

|

Tulisan 5

Prinsip Ekonomi adalah pedoman/pertimbangan melakukan tindakan ekonomi yang di dalamnya terkandung asas dengan pengorbanan sekecil-kecilnya diperoleh hasil yang maksimal.

1. Penerapan Prinsip ekonomi
a. dalam kegiatan konsumsi
didorong oleh keinginan mendapatkan kepuasan maksimum atas barang dan jasa yang dimanfaatkan.
contoh :
- membuat skala kebutuhan
- membeli alat pemuas yang berkualitas baik dengan harga relatif murah.
- hemat dalam memanfaatkan alat pemuas kebutuhan

b. dalam kegiatan produksi
didorong oleh keinginan untuk menghasilkan barang/jasa sebanyak-banyaknya dari sumber daya alam yang dimanfaatkan.
contoh :
a.memproduksi barang/jasa yang dibutuhkan dan disukai oleh sebagian masyarakat untuk mengurangi resiko kerugian
b. mendirikan pabrik di lokasi strategis untuk menghemat biaya angkut bahan baku dan hasil produksi.


c. dalam kegiatan perdagangan
didorong oleh keinginan mendapatkan keuntungan yang maksimal dari kegiatan jual beli barang produksi
contoh :
- berusaha mendapatkan produk-produk bermutu dan dibutuhkan masyarakat luas yang harganya murah
- menjual produk-produk yang dibutuhkan dan sesuai dengan daya beli masyarakat tapi tetap menguntungkan
- menerapkan proses terbaik dan tercepat saat mengirimkan barang.


2. Manfaat penerapan prinsip ekonomi
a. mencengah pemborosan
b. meminimalkan resiko kerugian
c. memaksimalkan keuntungan
d. bekerja hemat, cepat, dan tepat
e. mencapai hasil kerja yang terjamin mutunya
f. hidup lebih maju dalam persaingan yang sehat
g. memenuhi tingkat kemakmuran dengan tepat
h. mencapai tujuan dengan tepat waktu dan berhasil guna
i. melakukan kegiatan yang wajar, rasional dan dapat dipertanggungjawabkan



sumber : Buku Sakti
penerbit : Kendi Mas Media

Matematika, Bukan Menghafal Rumus

|

Tugas 2

Harian Seputar Indonesia

SELAMA ini matematika masih dipandang sebagai pelajaran yang sulit dan menakutkan bagi para siswa. Praktis nilai pelajar Indonesia di bidang matematika secara keseluruhan pun ( Pun nya dihilangkan ) kurang membanggakan.
Padahal, jika ditelusuri, Indonesia memiliki jam pelajaran yang lebih banyak ketimbang (di bandingkan dengan ) negara tetangga, Malaysia dan Singapura. Lantas apa ( apakah ) yang menjadi penyebabnya? Menurut Konsultan Institute for Education Reform Universitas Paramadina Ahmad Thoha Faz ST, masalah ini berkaitan dengan cara penyampaian materi oleh para guru di sekolah yang dinilai kurang efektif. Menurut dia, guru mengajar secara “egois”, yakni mengajar tanpa mengetahui apa yang sebenarnya ada di pikiran siswa.
Ibarat dokter yang langsung memberikan terapi tanpa memberitahukan hasil diagnosis. “Padahal, pelajaran apa pun harus dikaitkan dengan cara berpikir siswa dan bukan muncul ( munculnya di hilangkan ) dengan konsep abstrak, namun konkret. Sebenarnya inilah tujuan pelajaran matematika,” kata alumnus Teknik Industri Institut Teknologi Bandung (ITB) ini. Maka, sering kali siswa hanya disuruh menghafal rumus oleh guru ketimbang ( dari pada ) mengajak siswa menggunakan nalarnya untuk berpikir.
“Jadi, pekerjaan mereka (siswa) menghafal berbagai rumus, dan besoknya lupa atau bingung rumus tersebut untuk aplikasi soal yang mana ( sama ) . Itu karena mereka hanya menghafal, bukan memahami,” kata Ir Helena Margaretha, Head Of Mathematics Department Universitas Pelita Harapan. Adapun pendiri Klinik Pendidikan MIPA Ir Ridwan Hasan Saputra MSi melihat kebanyakan metode pengajaran yang diterapkan guru hanya transfer ( memberikan ) ilmu pengetahuan semata.
Tanpa ada penjelasan lebih lanjut mengenai kegunaan ilmu tersebut dan aplikasinya yang bersinggungan dalam kehidupan. Kegiatan siswa di sekolah hanya menyimak pengajaran yang diberikan dan mencatat. Guru juga sangat jarang memberikan tugas kepada siswa, terutama di sekolah negeri.
“Seharusnya, guru memberikan penjelasan lewat studi kasus yang mungkin bisa dihubungkan dengan aktivitas sehari-hari siswa. Jadi, siswa pun merasa lebih dekat dengan materi yang disampaikan,” tutur Ridwan. (sri noviarni)

Kesimpulan :

Mempelajari pelajaran Matematika tidak lah perlu di hafal rumsnya , tapi harus di pahami dan di resapi … jika kita menghafal rumusnya saja tetapi tidak memahami cara kerjanya … kita tidak akan bisa mengerjakan soal matemaika tersebut . Intinya Matematika itu Perlu di Pahami dan di resapi di perlajari ber-ulang kali dengan cara latihan soal dari sumber buku lainnya dan Tidak untuk di hafal rumusnya .

Koran Seputar Indonesia
Pada Koran ini ada beberapa kosa kata yang menggunakan bahasa keseharian agar menarik para pembaca , tetapi jika kita mengacu pada penggunaan bahasa Indonesia yang baik dan benar berdasarkan EYD hal tersebut kurang baik.




Tewas Usai Sembelih Hewan Kurban

Harian Pos Kota

DEPOK (Pos Kota) – Antrean ( antrian ) pembagian daging kurban di Polres Depok, Rabu (17/11) diwarnai desak-desakan. Sejumlah wanita dan anak-anak menjerit akibat tergencet di antara ratusan orang yang berebut ingin mendapatkan kupon daging. Suasana hiruk pikuk bertambah kacau ketika anak-anak berteriak sambil menangis. Petugas pun turun tangan.
Ida, 46, terpaksa ditarik petugas setelah anak perempuannya yang berusia 5 tahun tampak lemas tergencet antrean. ( antrian ) “Sampai susah nafas,” ( hingga sesak nafas ) katanya usai keluar dari barisan. “Tiga kali antre, saya nggak ( tidak ) pernah dapat ( mendapat ) kupon.” Wanita ini akhirnya pulang dengan rasa kecewa lantaran gagal membawa daging kurban yang diharapkannya.
Menurut Kabag Sumber Daya Manusia Polresta Depok, Kompol Sri Haryanti, sebayak 700 kupon dibagi menjadi dua bagian. “Ada 450 kupun ( kupon ) yang dibagi untuk kaum duafa sedang ( sedangkan ) 250 lainnya diserahkan pada anggota polisi, tahanan dan pegawai golongan satu,” katanya. ( Tegasnya )
TEWAS USIA ( usai ) SEMBELIH ( Menyembelih )
Sementara itu, Idul Adha kali ini menjadi Lebaran Kurban terakhir bagi Yusuf, 35. Ia meninggal dunia seusai memotong sapi yang ketiga di Musala Al-Solihin, Cisalak, Sukmajaya, Depok.
Tubuh Yusuf langsung ambruk ( jatuh ) ke tanah membuat warga berteriak histeris. “Saya tidak mengira keponakan saya itu meninggal, karena sebelumnya tidak pernah sakit,” kata Hasan, paman korban. Dugaan sementara, Yusuf meninggal dunia akibat sakit. (angga/B)

Kesimpulan :
Pada Koran Harian Pos Kota ini , Terdapat kalimat-kalimat yang Rancu dan penulisanya menggunakan Bahasa keseharian , dan terkadang ada beberapa kalimat yang salah dalm penulisan katanya, sehingga saat kita membaca , bacaananya jadi kurang jelas , tapi mudah untuk di pahami karena koran ini tidak mennggunakan bahasa yang rumit atau tergolong bahasa tinggkat tinggi , biasanya koran ini sering di baca oleh para pembaca golongan ke-bawah atau menengah .

tugas FPGA

|




Seven Segment Display adalah sirkuit yang dapat menampilkan angka desimal atau heksadesimal. Biasa display seven segmen terdiri dari 7 bagian yang setiap bagian adalah LED (Light Emitting Diode) yang dapat menyala. Jika 7 dari dioda dihidupkan dengan aturan-aturan sedemikian rupa, bagian ketujuh dapat menampilkan angka heksadesimal. sedangkan Seven segment adalah sekumpulan led yang terintegrasi dalam suatu piranti yang membentuk digit-digit angka dari 0-9. Seven segment ini terbagi menjadi dua jenis yaitu common anodadan common katoda, yang membedakan dari keduaya adalah aktif low(0) dan aktif high(1) atau berdasarkan ground dan vcc nya. Seven-segment membutuhkan 7 sinyal inputan untuk mengaktifkan led yang ada .
Setiap led membutuhkan inputan High(1) dan Low(0), tergantung dari jenis seven segmentnya. Jika Seven segment bertipe common katoda, maka dibutuhkan sinyal High(1) untuk mengaktifkan setiap lednya. Sebaliknya, untuk yang bertipe common anoda, dibutuhkan input Low(0) untuk mengaktifkan setiap lednya.

dibawah ini adalah contoh koding dalam penggunaan seven segment display dalam VHDL :

0000
1F2E
2E4C
3D6A
4C88
5BA6
6AC4
79E2
8800
972E
A64C
B56A
C488
D3A6
E2C4
F1E2


ibrary IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
-- library UNISIM;
-- use UNISIM.VComponents.all;

entity contoh is port
(--swt : in std_logic_vector(3 downto 0);
ssg : out std_logic_vector (6 downto 0);
reset: in std_logic;
clk50: in std_logic;
sel : out std_logic_vector(3 downto 0));
end contoh;

architecture Behavioral of contoh is
signal ChangeDigit: std_logic_vector(1 downto 0);
signal count, count1, count2, count3, curr: std_logic_vector ( 3 downto 0);
signal mhertz_count : std_logic_vector(5 downto 0) ;
signal khertz_count : std_logic_vector(9 downto 0) ;
signal hertz_count : std_logic_vector(9 downto 0) ;
signal mhertz_en : std_logic ;
signal khertz_en : std_logic ;
signal hertz_en : std_logic ;

begin
-- HEX-to-seven-segment decoder
-- HEX: in STD_LOGIC_VECTOR (3 downto 0);
-- LED: out STD_LOGIC_VECTOR (6 downto 0);
--
-- segment encoding
-- 0
-- ---
-- 5 | | 1
-- --- <- 6
-- 4 | | 2
-- ---
-- 3
-- sel <="1110";
-- CE <= '1';
-- with countc Select
-- "6543210"
-- ssg<= "1111001" when "0001", --1
-- "0100100" when "0010", --2
-- "0100100" when "0011", --3
-- "0100100" when "0100", --4
-- "0010010" when "0101", --5
-- "0000010" when "0110", --6
-- "1111000" when "0111", --7
-- "0000000" when "1000", --8
-- "0010000" when "1001", --9
-- "1000000" when others; --0

-- 4-bit synchronous counter with count enable,

process (hertz_en, RESET)
begin
if RESET='1' then
COUNT <= "0000";
elsif hertz_en='1' and hertz_en'event then
COUNT <= COUNT + 1;
end if;
end process;

process (hertz_en, RESET)
begin
if RESET='1' then
COUNT1 <= "0000";
elsif hertz_en='1' and hertz_en'event then
COUNT1 <= COUNT1 - 1;
end if;
end process;

process (hertz_en, RESET)
begin
if RESET='1' then
COUNT2 <= "0000";
elsif hertz_en='1' and hertz_en'event then
COUNT2 <= COUNT2 + 2;
end if;
end process;

process (hertz_en, RESET)
begin
if RESET='1' then
COUNT3 <= "0000";
elsif hertz_en='1' and hertz_en'event then
COUNT3 <= COUNT3 - 2;
end if;
end process;

process (clk50, reset)
begin
if reset = '1' then
mhertz_count <= (others => '0') ;
mhertz_en <= '0' ;
elsif clk50'event and clk50 = '1' then
mhertz_count <= mhertz_count + 1 ;
if mhertz_count = "110010" then
mhertz_en <= '1' ;
mhertz_count <= (others => '0') ;
else
mhertz_en <= '0' ;
end if ;
end if ;
end process ;

-- generates a 1 kHz signal from a 1 Mhz signal
process (clk50, reset)
begin
if reset = '1' then
khertz_count <= (others => '0') ;
khertz_en <= '0' ;
elsif clk50'event and clk50 = '1' then
if mhertz_en = '1' then
khertz_count <= khertz_count + 1 ;
if khertz_count = "1111101000" then
khertz_en <= '1' ;
khertz_count <= (others => '0') ;
else
khertz_en <= '0' ;
end if ;
else
khertz_en <= '0' ;
end if ;
end if ;
end process ;

--generates a 1 Hz signal from a 1 kHz signal
process (clk50, reset)
begin
if reset = '1' then
hertz_count <= (others => '0') ;
hertz_en <= '0' ;
elsif clk50'event and clk50 = '1' then
if khertz_en = '1' then
hertz_count <= hertz_count + 1 ;
if hertz_count = "1111101000" then
hertz_en <= '1' ;
hertz_count <= (others => '0') ;
else
hertz_en <= '0' ;
end if ;
else
hertz_en <= '0' ;
end if ;
end if ;
end process ;

-- This block shows how to multiplex output to different 7-segments
process (clk50, reset)
begin
if reset = '1' then
ssg <= (others => '1') ;
sel <= (others => '1') ;
curr <= (others => '0') ;
elsif clk50'event and clk50 = '1' then
ChangeDigit <= "11" ;
case ChangeDigit is
when "00" => curr <= count ; sel <= "1110" ;
when "01" => curr <= count1; sel <= "1101" ;
when "10" => curr <= count2; sel <= "1011" ;
when others => curr <= count3; sel <= "0111" ;
end case;

if khertz_en = '1' then
ChangeDigit <= ChangeDigit + 1;
else
ChangeDigit <= ChangeDigit;
end if ;
case curr is
when "0000" => ssg <= "1000000" ;
when "0001" => ssg <= "1111001" ;
when "0010" => ssg <= "0100100" ;
when "0011" => ssg <= "0110000" ;
when "0100" => ssg <= "0011001" ;
when "0101" => ssg <= "0010010" ;
when "0110" => ssg <= "0000010" ;
when "0111" => ssg <= "1111000" ;
when "1000" => ssg <= "0000000" ;
when "1001" => ssg <= "0010000" ;
when "1010" => ssg <= "0001000" ;
when "1011" => ssg <= "0000011" ;
when "1100" => ssg <= "1000110" ;
when "1101" => ssg <= "0100001" ;
when "1110" => ssg <= "0000110" ;
when "1111" => ssg <= "0001110" ;
when others => ssg <= "1000000" ;
end case ;

end if ;
end process ;
end Behavioral;